design the VHDL based temperature controller

Cancelled Posted 7 years ago Paid on delivery
Cancelled Paid on delivery

- To design the VHDL based temperature controller that comprises of SPI

controller, Data converter, Memory block and Display drivers.

- To develop the test bench to test the design for both behavioral and timing

model using Modelsim.

- Implement the design in FPGA and accomplish the hardware testing. (optional)

FPGA Verilog / VHDL

Project ID: #13097924

About the project

Remote project Active 7 years ago